【最新】VHDL实验代码:8位移位寄存器

本文档由 mumh 分享于2010-12-28 18:56

【最新】VHDL实验代码:8位移位寄存器 --实验6.4--8位移位寄存器LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY shifter IS PORT ( data_in: IN STD_LOG...
文档格式:
.txt
文档大小:
2.47K
文档页数:
2
顶 /踩数:
0 0
收藏人数:
4
评论次数:
0
文档热度:
文档分类:
待分类
添加到豆单
文档标签:
vhdl 实验 8位 代码 源代码 最新 vhdl代码 vhdl实验 寄存器 实验6
系统标签:
寄存器 移位 downto vhdl 代码 实验
下载文档
收藏
打印

扫扫二维码,随身浏览文档

手机或平板扫扫即可继续访问

推荐豆丁书房APP  

获取二维码

分享文档

将文档分享至:
分享完整地址
文档地址: 复制
粘贴到BBS或博客
flash地址: 复制

支持嵌入FLASH地址的网站使用

html代码: 复制

默认尺寸450px*300px480px*400px650px*490px

支持嵌入HTML代码的网站使用

分享到