基于VHDL的FIFO存储器的设计

本文档由 kisske 分享于2011-06-16 12:33

对FIFO的结构和工作原理作了详细地介绍,同时借助硬件描述语言的功能强大、设计方法简单灵活、开发周期短和可移植性强等优势,采用VHDL设计了FIFO的通用程序。最后对FIFO的发展前景和应用作了展望。
文档格式:
.doc
文档大小:
160.0K
文档页数:
5
顶 /踩数:
0 0
收藏人数:
0
评论次数:
0
文档热度:
文档分类:
论文  —  论文指导/设计
添加到豆单
文档标签:
FIFO end rdaddr VHDL wraddr process clk rst begin elsif
系统标签:
fifo vhdl 存储器 wraddr rdaddr 读时钟
下载文档
收藏
打印

扫扫二维码,随身浏览文档

手机或平板扫扫即可继续访问

推荐豆丁书房APP  

获取二维码

分享文档

将文档分享至:
分享完整地址
文档地址: 复制
粘贴到BBS或博客
flash地址: 复制

支持嵌入FLASH地址的网站使用

html代码: 复制

默认尺寸450px*300px480px*400px650px*490px

支持嵌入HTML代码的网站使用

分享到